Sphinx Verilog Domain
Sphinx Verilog Domain

Nesting and refs

  • Top1.a - should link to Top1.a port declaration

  • Top1.Nested1.a - should link to Top1.Nested1.a port declaration

  • Nested1.a - shouldn’t create a link (symbol does not exist in this scope)

  • InOtherFile.p - should link to InOtherFile.p port declaration which is located in another file

  • NestTest - should link to NestTest, not to nesttest

  • nesttest - should link to nesttest, not to NestTest

  • $root - shouldn’t create a link

  • Top1.$root - shouldn’t create a link (invalid qualified name)

module a(p);
module Top1(a, b, c);

a and b in the module declaration should link to following port declarations. c shouldn’t be a link.

input a;
input b;

Following port is a duplicate - the module shouldn’t link to it in its ports list.

output b;

Duplicated name test: created link target should be unique (compare with previous b declaration)

module \35(4p3|) (z);
module Nested1(a, b, c);

a and b in the module declaration should link to following port declarations. c shouldn’t be a link. Note that b has :refname: set as it not normally referencable by b

input a;
module InPortsContent1(a);

a in the module declaration shouldn’t be a link.

This module is located inside input a’s ReST directive’s content. However, it should be registered directly in module Nested1 scope.

input b;

Refs test:

  • a, Nested1.a, Top1.Nested1.a - should link to Top1.Nested1.a port declaration

  • $root.a - should link to a module declaration in toplevel scope

  • b, Nested1.b, Top1.Nested1.b - should link to Top1.Nested1 module declaration. The module declares the port in its ports list, and no other declaration is available.

  • c, Top1.c - should link to Top1 module declaration.

  • Top1.a - should link to Top1.a port declaration

  • Top2.a - should link to Top2.a port declaration

  • module_escaped (ref used in .rst is module_escaped) - should link to Top1.\35(4p3|) module declaration (the declaration has refname)

  • \35(4p3|) - shouldn’t create a link (Top1.\35(4p3|) has refname specified)

  • LoremIpsumDolorSitAmetNestTest - shouldn’t create a link (symbol does not exist)

  • unique_port_name_in_nest_test - shouldn’t create a link (symbol does not exist in this scope)

input \refname-use , \with-multiple-names ;

refname_use_with_multiple_names (refname_use_with_multiple_names) should refer to port definition above

module Top2(a, b);

a in the module declaration should link to following port declaration. b shouldn’t be a link.

input a;
module Top3(x, y, unique_port_name_in_nest_test);

y in the module declaration should link to following port declaration. x and unique_port_name_in_nest_test shouldn’t be a link.

input y;
input nesttest0, nesttest;
input NestTest, NestTest2;

Some text to enable scrolling…

  • Lorem

  • ipsum

  • dolor

  • sit

  • amet,

  • consectetur

  • adipiscing

  • elit.

  • Donec

  • ac

  • mattis

  • metus.

  • Praesent

  • faucibus

  • tortor

  • eu

  • euismod

  • imperdiet.

  • Mauris

  • a

  • porta

  • mauris,

  • ac

  • faucibus

  • magna.

  • Aliquam

  • lacinia

  • hendrerit

  • interdum.

  • Nullam

  • tempor,

  • massa

  • ac

  • scelerisque

  • porta,

  • nunc

  • nunc

  • dignissim

  • ex,

  • id

  • commodo

  • ligula

  • lorem

  • sit

  • amet

  • ligula.

  • Morbi

  • rhoncus

  • et

  • orci

  • ut

  • euismod.

  • In

  • eu

  • scelerisque

  • lectus,

  • tempor

  • vulputate

  • risus.

  • Proin

  • imperdiet

  • dignissim

  • condimentum.

  • Nunc

  • ultrices

  • laoreet

  • faucibus.

  • Morbi

  • fringilla

  • efficitur

  • dolor,

  • et

  • eleifend

  • erat

  • pellentesque

  • at.

  • Donec

  • sed

  • ligula

  • ac

  • ligula

  • consequat

  • lobortis.

  • Integer

  • nec

  • diam

  • id

  • magna

  • scelerisque

  • placerat.